Solved

Process variables values unavailable in first task

  • 17 June 2021
  • 2 replies
  • 92 views

Userlevel 3
Badge +4

Hello,

I’ve run into some weird behavior I cannot explain:
When starting a process flow from a task, the process variable values don’t appear to be available in the control procedure attached to that first task. It will always be NULL instead of the default assigned to it. The process variable has a default value assigned to it but that value appears to only be set in any procedure/process after the first task. Furthermore, if I set the value of this process variable in the first task and use the multi row task execution, the other instances of the task do not see each other’s value (always null).

Some verification I’ve done:

  • The process variable has a default set using the form under process variables (Same behavior for both expression and constant value)
  • The first task has a task parameter with both input and output set to true and no default is assigned there.
  • In the process action, the process variable is assigned to the input and output of the task parameter.
  • Confirmed that the process variable is set to the default value in all steps after the first task.
  • No difference between Windows GUI and Universal GUI.

 


 
I’ve worked around these issues by not using the default anymore but I am curious if this is intended behavior or if there is something I’ve missed.
 

icon

Best answer by Mark Jongeling 17 June 2021, 15:52

View original

2 replies

Userlevel 7
Badge +23

Hi,

This is indeed the intended behavior; just checked with my colleague. Process variables cannot supply a value as input to a first process action because the Process variable are called/used/stored data in after truly starting the Process flow; which is after a completion of the first Process action. 

This can be a bit confusing because the Software Factory Process flow modeler does not accurately represent what actually happens with the Process flow.

The Start process action is not the actual start of the Process flow but more like a visual way of indicating which connected Process actions may start this Process flow. The Process flow truly starts during/after the starting process action is executed. This means that Process variables cannot provide input for the first process action.

If this is also the case for System flows, I'm not fully sure but I do believe that Process variables do work in the input of the first process action. 

 

Userlevel 3
Badge +4

Thank you for the explanation, I’ll try not to rely on process variable input on the first task in future process flows.

Reply